中文版
Yunji Chen
Yunji Chen
Address: Institute of Computing Technology Chinese Academy of Sciences
P.O. Box 2704-25 Beijing 100190, China
Email: cyj@ict.ac.cn

Yunji Chen was born in Nanchang, China, in 1983. Currently, he is a full professor at Institute of Computing Technology, Chinese Academy of Sciences. Currently, he leads his lab to develop neural network processors. Before that, he participated in the Godson/Loongson project for more than ten years, and was a chief architect of Godson-3 microprocessor. Yunji Chen has authored or coauthored 1 book and over 60 papers on various conferences (including ISCA, HPCA, MICRO, ASPLOS, ICSE, ISSCC, Hot Chips, IJCAI, FPGA, and SPAA) and jounals (including IEEE JSSC, IEEE TC, IEEE TPDS, IEEE TIP, IEEE TCAD, ACM TOCS, ACM TIST, ACM TACO, ACM TODAES, ACM Computing Surveys, and IEEE Micro). He was a recipient of ASPLOS'14 and MICRO'14 best paper awards for advances in neural network processors.


Experiences:

2012.9-Full professorInstitute of Computing Technology, Chinese Academy of Sciences.
2009.9-2012.9Associate professorInstitute of Computing Technology, Chinese Academy of Sciences.
2007.7-2009.9Assistant professorInstitute of Computing Technology, Chinese Academy of Sciences.
2002.9-2007.7PhD (CS)Institute of Computing Technology, Chinese Academy of Sciences.
1997.9-2002.7Undergraduate (CS)Special Class for the Gifted Young, University of Science and Technology of China.
1992.7-1997.9Middle school studentSpecial Class for the Gifted Young, No.10 Middle School of Nanchang.


Teaching

    The Artificial Intelligence Computing Systems,a course in University of Chinese Academy of Sciences

    Selected Publications

  • Conference paper

    • Xishan Zhang, Shaoli Liu, Rui Zhang, Chang Liu, Di Huang, Shiyi Zhou, Jiaming Guo, Qi Guo, Zidong Du, Tian Zhi, Yunji Chen, "Fixed-point back-propagation training", in Proceedings of IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR'20), 2020

    • Di Huang, Xishan Zhang, Rui Zhang, Tian Zhi, Deyuan He, Jiaming Guo, Chang Liu, Qi Guo, Zidong Du, Shaoli Liu, Tianshi Chen, Yunji Chen, "DWM: A decomposable winograd method for convolution acceleration", in Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence (AAAI'20), 2020

    • Yongwei Zhao, Zidong Du, Qi Guo, Shaoli Liu, Ling Li, Zhiwei Xu, Tianshi Chen, Yunji Chen, "Cambricon-F: Machine learning computers with fractal von Neumann architecture", in Proceedings of the 46th International Symposium on Computer Architecture (ISCA'19), 2019

    • Xuda Zhou, Zidong Du, Qi Guo, Chengsi Liu, Chao Wang, Xuehai Zhou, Ling Li, Tianshi Chen, Yunji Chen, "Cambricon-S: Addressing irregularity in sparse neural networks through a cooperative software/hardware approach", in Proceedings of the 51st IEEE/ACM International Symposium on Microarchitecture (MICRO-51), 2018

    • Shijin Zhang, Zidong Du, Lei Zhang, Huiying Lan, Shaoli Liu, Ling Li, Qi Guo, Tianshi Chen, and Yunji Chen, "Cambricon-X: An accelerator for sparse neural networks", in Proceedings of the 49th IEEE/ACM International Symposium on Microarchitecture (MICRO-49), 2016

    • Shaoli Liu, Zidong Du, Jinhua Tao, Dong Han, Tao Luo, Yuan Xie, Yunji Chen, and Tianshi Chen, "Cambricon: An Instruction Set Architecture for Neural Networks", in Proceedings of the 43rd ACM/IEEE International Symposium on Computer Architecture (ISCA'16), 2016. (The highest score paper)

    • Zidong Du, Daniel D Ben-Dayan Rubin, Yunji Chen, Liqiang He, Tianshi Chen, Lei Zhang, Chengyong Wu, and Olivier Temam, "Neuromorphic Accelerators: A Comparison Between Neuroscience and Machine-Learning Approaches", in Proceedings of the 48th ACM/IEEE International Symposium on Microarchitecture (MICRO'15), 2015.

    • Zidong Du, Robert Fasthuber, Tianshi Chen, Paolo Ienne, Ling Li, Tao Luo, Xiaobing Feng, Yunji Chen, and Olivier Temam, "ShiDianNao: Shifting Vision Processing Closer to the Sensor", in Proceedings of the 42nd ACM/IEEE International Symposium on Computer Architecture (ISCA'15), 2015.

    • Xiang Yuan, Chenggang Wu, Zhenjiang Wang, Jianjun Li, Pen-Chung Yew, Jeff Huang, Xiaobing Feng, Yanyan Lan, Yunji Chen, and Yong Guan, "Reproducing Concurrency Bugs Using Local Clocks", in Proceedings of the 37th International Conference on Software Engineering (ICSE'15), 2015.

    • Xiaochun Zhang, Qi Guo, Yunji Chen, Tianshi Chen, and Weiwu Hu, "HERMES: A Fast Cross-ISA Binary Translator with Post-Optimization", in Proceedings of the 13th International Symposium on Code Generation and Optimization (CGO'15), 2015. (Best paper Nominee)

    • Daofu Liu, Tianshi Chen, Shaoli Liu, Jinhong Zhou, Shengyuan Zhou, Olivier Temam, Xiaobing Feng, Xuehai Zhou, and Yunji Chen, "PuDianNao: A Polyvalent Machine Learning Accelerator", in Proceedings of the 20th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'15), 2015.

    • Yunji Chen, Tao Luo, Shaoli Liu, Shijin Zhang, Liqiang He, Jia Wang, Ling Li, Tianshi Chen, Zhiwei Xu, Ninghui Sun, and Olivier Temam, "DaDianNao: A Machine-Learning Supercomputer", in Proceedings of the 47th IEEE/ACM International Symposium on Microarchitecture (MICRO'14), 2014. (Best paper) [link]

    • Tianshi Chen, Zidong Du, Ninghui Sun, Jia Wang, Chengyong Wu, Yunji Chen, and Olivier Temam, "DianNao: A Small-Footprint High-Throughput Accelerator for Ubiquitous Machine-Learning", in Proceedings of the 19th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'14), 2014. (Best paper) [link]

    • Tianshi Chen, Qi Guo, Ke Tang, Olivier Temam, Zhiwei Xu, Zhi-Hua Zhou, and Yunji Chen, "ArchRanker: A Ranking Approach to Design Space Exploration", in Proceedings of the 41st ACM/IEEE International Symposium on Computer Architecture (ISCA'14), 2014.

    • Yuanjie Huang, Paolo Ienne, Olivier Temam, Yunji Chen, and Chengyong Wu, "Elastic CGRAs", in Proceedings of the 21st ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA'13), 2013.

    • Weiwu Hu, Yifu Zhang, Liang Yang, Baoxia Fan, Yunji Chen, Shiqiang Zhong, Huandong Wang, Zichu Qi, Pengyu Wang, Xiang Gao, Xu Yang, Bin Xiao, Hongsheng Wang, Zongren Yang, Liqiong Yang, and Shuai Chen, "Godson-3B1500: A 32nm 1.35GHz 40W 172.8GFLOPS 8-Core Processor", in Proceedings of the 60th IEEE International Solid-State Circuits Conference (ISSCC'13), 2013.

    • Tianshi Chen, Yunji Chen, Marc Duranton, Qi Guo, Atif Hashmi, Mikko Lipasti, Andrew Nere, Shi Qiu, Michele Sebag, and Olivier Temam, "BenchNN: On the Broad Potential Application Scope of Hardware Neural Network Accelerators", in Proceedings of International Symposium on Workload Characterization (IISWC'12), 2012. (Best Paper Nominee)

    • Tianshi Chen, Yunji Chen, Qi Guo, Olivier Temam, Yue Wu, and Weiwu Hu, "Statistical Performance Comparisons of Computers" in Proceedings of the 18th IEEE International Symposium on High-Performance Computer Architecture (HPCA'12), 2012.

    • Qi Guo, Tianshi Chen, Yunji Chen, Zhi-Hua Zhou, Weiwu Hu, and Zhiwei Xu, "Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations", in Proceedings of the 22nd International Joint Conference on Artificial Intelligence (IJCAI'11), 2011.

    • Lei Li, Tianshi Chen, Yunji Chen, Ling Li, and Cheng Qian, "Brief Announcement: Program Regularization in Verifying Memory Consistency", in Proceedings of the 23rd ACM Symposium on Parallelism in Algorithms and Architectures (SPAA'11), 2011.

    • Qi Guo, Tianshi Chen, Haihua Shen, Yunji Chen, Yue Wu and Weiwu Hu, "Empirical Design Bugs Prediction for Verification," in 2011 Design, Automation and Test in Europe Conference (DATE'11), Grenoble, France, 2011

    • Weiwu Hu, Ru Wang, Yunji Chen, Baoxia Fan, Shiqiang Zhong, Xiang Gao, Zichu Qi, and Xu Yang, "Godson-3B: A 1GHz 40W 8-Core 128GFlops Processor in 65nm CMOS", in Proceedings of the 58th IEEE International Solid-State Circuits Conference (ISSCC'11), 2011.

    • Weiwu Hu and Yunji Chen, "GS464V: A High-performance Low-power XPU with 512-bit Vector Extension", in Proceedings of the 22nd IEEE Symposium on High Performance Chips (HOT CHIPS'10), 2010.

    • Yunji Chen, Weiwu Hu, Tianshi Chen, and Ruiyang Wu, "LReplay: A Pending Period Based Deterministic Replay Scheme", in Proceedings of the 37th ACM/IEEE International Symposium on Computer Architecture (ISCA'10), 2010.

    • Menghao Su, Yunji Chen, and Xiang Gao, "A General Method to Make Multi-Clock System Deterministic", in Proceedings of Design, Automation, and Test in Europe (DATE'10), 2010.

    • Yunji Chen, Yi Lv, Weiwu Hu, Tianshi Chen, Haihua Shen, Pengyu Wang, and Hong Pan, "Fast Complete Memory Consistency Verification", in Proceedings of the 15th IEEE International Symposium on High-Performance Computer Architecture (HPCA'09), 2009. (The first HPCA paper from Mainland China)

    • Weiwu Hu, Jian Wang, Xiang Gao, and Yunji Chen, "Micro-architecture of Godson-3 Multi-Core Processor", in Proceedings of the 20th IEEE Symposium on High Performance Chips (HOT CHIPS'08), 2008. (The first HOTCHIPS presentation from Mainland China)


      Journal paper

    • Xinkai Song, Tian Zhi, Zhe Fan, Zhenxing Zhang, Xi Zeng, Wei Li, Xing Hu, Zidong Du, Qi Guo, Yunji Chen, "Cambricon-G: A polyvalent energy-efficient accelerator for dynamic graph neural networks", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021

    • Zidong Du, Qi Guo, Yongwei Zhao, Xi Zeng, Ling Li, Limin Cheng, Zhiwei Xu, Ninghui Sun, and Yunji Chen, "Breaking the interaction wall: A DLPU-centric deep learning computing system", IEEE Transactions on Computers, 2021

    • Zidong Du, Qi Guo, Yongwei Zhao, Tian Zhi, Yunji Chen, and Zhiwei Xu, "Self-aware neural network systems: A survey and new perspective", Proceedings of IEEE, 2020

    • Xi Zeng, Tian Zhi, Xuda Zhou, Zidong Du, Qi Guo, Shaoli Liu, Bingrui Wang, Yuanbo Wen, Chao Wang, Xuehai Zhou, Ling Li, Tianshi Chen, Ninghui Sun, Yunji Chen, "Addressing irregularity in sparse neural networks through a cooperative software/hardware approach", IEEE Transactions on Computers, 2020

    • Yongwei Zhao, Zhe Fan, Zidong Du, Tian Zhi, Ling Li, Qi Guo, Shaoli Liu, Zhiwei Xu, Tianshi Chen, and Yunji Chen, "Machine learning computers with fractal von Neumann architecture", IEEE Transactions on Computers, 2020

    • Yunji Chen, Huiying Lan, Zidong Du, Shaoli Liu, Jinhua Tao, Dong Han, Tao Luo, Qi Guo, Ling Li, Yuan Xie, and Tianshi Chen, "An instruction set architecture for machine learning", ACM Transactions on Computer Systems, 2019

    • Shengyuan Zhou, Qi Guo, Zidong Du, Daofu Liu, Tianshi Chen, Ling Li, Shaoli Liu, Jinhong Zhou, Olivier Temam, Xiaobing Feng, Xuda Zhou, Yunji Chen, "ParaML: A polyvalent multi-core accelerator for machine learning", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019

    • Xuda Zhou, Zidong Du, Shijin Zhang, Lei Zhang, Huiying Lan, Shaoli Liu, Ling Li, Qi Guo, Tianshi Chen, Yunji Chen, "Addressing sparsity in deep neural networks", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018

    • Tao Luo, Shaoli Liu, Ling Li, Yuqing Wang, Shijin Zhang, Tianshi Chen, Zhiwei Xu, Olivier Temam, Yunji Chen, "DaDianNao: A Neural Network Supercomputer", IEEE Transactions on Computers, 2017

    • Zidong Du, Shaoli Liu, Robert Fasthuber, Tianshi Chen, Paolo Ienne, Ling Li, Tao Luo, Qi Guo, Xiaobing Feng, Yunji Chen and Olivier Temam, "An accelerator for high efficient vision processing", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017

    • Qi Guo, Tianshi Chen, Yunji Chen, and Franz Franchetti, "Accelerating architectural simulation via statistical techniques: a survey", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016

    • Shaoli Liu, Tianshi Chen, Ling Li, Xiaoxue Feng, Zhiwei Xu, Haibo Chen, Frederic T. Chong, Yunji Chen, "IMR: High-Performance Low-Cost Multi-Ring NoCs", IEEE Transactions on Parallel and Distributed Systems, 2016

    • Yunji Chen, Shijin Zhang, Qi Guo, Ling Li, Ruiyang Wu, and Tianshi Chen, "Deterministic Replay: A Survey", accepted by ACM Computing Surveys, 2015.

    • Shaoli Liu, Tianshi Chen, Ling Li, Xiaoxue Feng, Zhiwei Xu, Haibo Chen, Fred Chong, and Yunji Chen, "IMR: High-Performance Low-Cost Multi-Ring NoCs", accepted by IEEE Transactions on Parallel and Distributed Systems, 2015.

    • Qi Guo, Tianshi Chen, Yunji Chen, Franz Franchetti, and James C. Hoe, "Accelerating Architectural Simulation via Statistical Techniques: A Survey", accepted by IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015.

    • Zidong Du, Avinash Lingamneni, Yunji Chen, Krishna V. Palem, Olivier Temam, and Chengyong Wu, "Leveraging the Error Resilience of Neural Networks for Designing Highly Energy Efficient Accelerators", accepted by IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015.

    • Shuangde Fang, Wenwen Xu, Yang Chen, Lieven Eeckhout, Olivier Temam, Yunji Chen, Chengyong Wu, and Xiaobing Feng, "Practical Iterative Optimization for the Data Center", accepted by ACM Transactions on Architecture and Code Optimization, 2015.

    • Tianshi Chen, Shijin Zhang, Shaoli Liu, Zidong Du, Tao Luo, Yuan Gao, Junjie Liu, Dongsheng Wang, Chengyong Wu, Ninghui Sun, Yunji Chen, and Olivier Temam, "A Small-Footprint Accelerator for Large-Scale Neural Networks", accepted by ACM Transactions on Computer Systems, 2014. (The first ACM TOCS paper from Mainland China)

    • Qi Guo, Tianshi Chen, Zhi-Hua Zhou, Olivier Temam, Ling Li, Depei Qian, and Yunji Chen, "Robust Architectural Design Space Modeling", accepted by ACM Transactions on Design Automation of Electronic Systems, 2014.

    • Shaoli Liu, Tianshi Chen, Ling Li, Xi Li, Mingzhe Zhang, Chao Wang, Haibo Meng, Xuehai Zhou, and Yunji Chen, "FreeRider: Non-local Adaptive Network-on-Chip Routing with Packet-Carried Propagation of Congestion Information", accepted by IEEE Transactions on Parallel and Distributed Systems, 2014.

    • Tianshi Chen, Qi Guo, Olivier Temam, Yue Wu, Yungang Bao, Zhiwei Xu, and Yunji Chen, "Statistical Performance Comparisons of Computers", accepted by IEEE Transactions on Computers, 2014.

    • Shuangde Fang, Zidong Du, Yuntan Fang, Yuanjie Huang, Yang Chen, Lieven Eeckhout, Olivier Temam, Huawei Li, Yunji Chen, and Chengyong Wu, "Performance Portability Across Heterogeneous SoCs Using a Generalized Library-Based Approach", accepted by ACM Transactions on Architecture and Code Optimization, 2014.

    • Chao Wang, Xi Li, Junneng Zhang, Peng Chen, Yunji Chen, Xuehai Zhou, and RAY C.C. Cheung, "Architecture Support for Task Out-of-order Execution in MPSoCs", accepted by IEEE Transactions on Computers, 2014.

    • Qi Guo, Tianshi Chen, Yunji Chen, Rui Wang, Huanhuan Chen, Weiwu Hu, and Guoliang Chen, "Pre-Silicon Bug Forecast", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no.3, 2014.

    • Weiwu Hu, Liang Yang, Baoxia Fan, Huandong Wang, and Yunji Chen, "An 8-core MIPS-compatible processor in 32/28nm bulk CMOS", IEEE Journal of Solid-State Circuits, vol. 49, no. 1, 2014.

    • Tianshi Chen, Yunji Chen, Qi Guo, Zhi-Hua Zhou, Ling Li, and Zhiwei Xu, "Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations", ACM Transactions on Intelligent Systems and Technology, vol. 5, no. 1, 2013.

    • Yunji Chen, Tianshi Chen, Ling Li, Ruiyang Wu, Daofu Liu, and Weiwu Hu, "Deterministic Replay Using Global Clock", ACM Transactions on Architecture and Code Optimization, vol. 10, no. 1, 2013.

    • Yunji Chen, Tianshi Chen, Ling Li, Lei Li, Liang Yang, Menghao Su, and Weiwu Hu, "LDet: Determinizing Asynchronous Transfer for Post-silicon Debugging", IEEE Transactions on Computers, vol. 62, no. 9, 2013.

    • Ling Li, Shaoli Liu, Yunji Chen, Tianshi Chen, and Tao Luo, "Motion Estimation Without Integer-Pel Search", IEEE Transactions on Image Processing, vol. 22, no. 4, 2013.

    • Yunji Chen, Lei Li, Tianshi Chen, Ling Li, Lei Wang, Xiaoxue Feng, and Weiwu Hu, "Program Regularization in Memory Consistency Verification", IEEE Transactions on Parallel and Distributed Systems, vol. 23, no. 11, 2012.

    • Weiwu Hu, Yunji Chen, Tianshi Chen, Cheng Qian and Lei Li, "Linear Time Memory Consistency Verification", IEEE Transactions on Computers, vol. 61, no. 4, 2012.

    • Xiang Gao, Yunji Chen, Huandong Wang, Dan Tang, and Weiwu Hu, "System Architecture of Godson-3 Multi-core Processors", Journal of Computer Science and Technology, vol. 25, no. 2, 2010.

    • Yunji Chen, Tianshi Chen, and Weiwu Hu, "Global Clock, Physical Time Order and Pending Period Analysis in Multiprocessor Systems", CoRR abs/0903.4961, March 2009.

    • Weiwu Hu, Jian Wang, Xiang Gao, Yunji Chen, Qi Liu, and Guojie Li, "Godson-3: A Scalable Multicore RISC Processor with x86 Emulation", IEEE Micro, vol. 29, no. 2, 2009.

    View More

    Project Summary

  • General co-chair: ASPLOS'17;

    Program co-chair: APPT'15;

    Program committee member: COSMIC'13, APPT'13, NAS'13, APSys'14, MICRO'14, ASPLOS'15, IPDPS'15, CF'15, ISCA'16, IPDPS'16, MICRO'16;

    External review committee member: HPCA'15, ISCA'15

    Awards